International Journal of Advanced Technology and Engineering Exploration (IJATEE) ISSN (P): 2394-5443 ISSN (O): 2394-7454 Vol - 8, Issue - 80, July 2021
  1. 1
    Google Scholar
PNR flow methodology for congestion optimization using different macro placement strategies of DDR memories

J. Fadnavis and Kariyappa B.S

Abstract

The demand for high-performance electronic gadgets has increased two-folds in the last decade, fueling technology manufacturers to shrink fabrication node sizes. The decreasing channel sizes along with an increase in gate count and cell density pose numerous congestion issues during physical implementation of the chips, making design closure ever more difficult. Double Data Rate (DDR) memories that access data on both edges of the clock cycle require extreme timing control and must meet the strict timing requirements during Physical Design (PD). Floor-plan, being the first stage of back-end PD implementation, is an important step to mitigate congestion and timing issues during the subsequent stages of the implementation. On-chip macros, with connections to the standard cells and the Input/Output (IO) ports of the chip, need to be strategically placed during the floor-plan of the design to enable congestion-free placement of standard cells and signal routes. Previously, designers opted for island macro placement strategy, wherein macros were grouped close together, thereby leaving a uniform square region for standard cell placement. However, this method alone cannot be considered for chip designs today that has denser macro pin connections to the chip IO ports as in the Last Level Cache (LLC) block of a DDR subsystem. In this paper, two new placement strategies have been considered – peripheral and donut, for the LLC module. A congestion-optimized, floor-plan to Place and Route (PNR) flow methodology has been presented for each of these placement strategies using Cadence Innovus Implementation System and Synopsis IC Compiler II. The Quality of Results (QOR) for each strategy was then compared. The peripheral macro placement strategy is found to be best among the three, while the donut macro placement is the worst. A 16% improvement in the overall on-chip delay is seen in the peripheral macro placement when compared to island macro placement. Furthermore, a 19.6% power reduction is observed in the peripheral macro placement strategy as compared to island macro placement. The overall congestion for peripheral macro placement is 0.32%, which is the least among the three strategies. Hence, the peripheral macro placement strategy proves to be the best choice for macro placement, when considering floor-plan for the LLC module in a DDR subsystem.

Keyword

Double data rate, Physical design, Floor-plan, Macro placement, Island, Peripheral, Donut, Congestion.

Cite this article

Fadnavis J, B.S K

Refference

[1][1]Haseeb K, Din IU, Almogren A, Jan Z, Abbas N, Adnan M. Ddr-esc: a distributed and data reliability model for mobile edge-based sensor-cloud. IEEE Access. 2020; 8:185752-60.

[2][2]Maity S, Jiang X, Sen S. Theoretical analysis of AM and FM interference robustness of integrating DDR receiver for human body communication. IEEE Transactions on Biomedical Circuits and Systems. 2019; 13(3):566-78.

[3][3]Inoue K, Yano Y. A large scale access-control list for IoT security comprising embedded IP-core and DDR DRAM. In international SoC design conference 2016 (pp. 197-8). IEEE.

[4][4]Hassan M. On the off-chip memory latency of real-time systems: Is DDR dram really the best option? In real-time systems symposium 2018 (pp. 495-505). IEEE.

[5][5]Behnam P, Bojnordi MN. STFL-DDR: improving the energy-efficiency of memory interface. IEEE Transactions on Computers. 2020; 69(12):1823-34.

[6][6]Soni A, Soni B, Mehta R. Congestion estimation using various floorplan techniques in 28nm soc design. In international conference on intelligent computing and control systems 2020 (pp. 199-204). IEEE.

[7][7]Zhang Y, Peng X. A partition level floorplan method based on data flow analysis for physical design of digital IC. In international conference on integrated circuits and microsystems 2017 (pp. 74-7). IEEE.

[8][8]Garg S, Shukla NK. A study of floorplanning challenges and analysis of macro placement approaches in physical aware synthesis. International Journal of Hybrid Information Technology. 2016; 9(1):279-90.

[9][9]Chan CK, Wu TM, Wu ML, Fan GJ, Shiah C, Lu NC, et al. Power distribution network modeling and design of re-distribution layer in DDR application. In workshop on signal and power integrity 2020 (pp. 1-4). IEEE.

[10][10]MP PK, Panda SK. Design and verification of DDR SDRAM memory controller using systemverilog for higher coverage. In international conference on intelligent computing and control systems 2019 (pp. 689-94). IEEE.

[11][11]Sim SW, Andersson W. On-Die decoupling capacitor optimization for DDR IO interface power rail. In conference on electrical performance of electronic packaging and systems 2018 (pp. 229-31). IEEE.

[12][12]Ejaz A, Papaefstathiou V, Sourdis I. FreewayNoC: a DDR NoC with pipeline bypassing. In international symposium on networks-on-chip 2018 (pp. 1-8). IEEE.

[13][13]Mohamed J, Michalka T, Ozbayat S, Luevano GR. PDN design and sensitivity analysis using synthesized models in DDR SI/PI co-simulations. In electrical design of advanced packaging and systems symposium 2018 (pp. 1-3). IEEE.

[14][14]Al-obaidy F, Asad A, Mohammadi F. Power-management based on reconfigurable last-cache level on non-volatile memories in chip-multi processors. In Canadian conference of electrical and computer engineering 2019 (pp. 1-4). IEEE.

[15][15]Nath A, Kapoor HK. Write variation aware cache partitioning for improved lifetime in non-volatile caches. In international conference on VLSI design and international conference on embedded systems 2019 (pp. 425-30). IEEE.

[16][16]Sakhare S, Perumkunnil M, Bao TH, Rao S, Kim W, Crotti D, et al. Enablement of STT-MRAM as last level cache for the high performance computing domain at the 5nm node. In international electron devices meeting 2018. IEEE.

[17][17]Cho H, Kong J, Munir A, Giri NK. CT-cache: compressed tag-driven cache architecture. In computer society annual symposium on VLSI 2018 (pp. 94-9). IEEE.

[18][18]Jang G, Gaudiot JL. Data shepherding: a last level cache design for large scale chips. In international conference on high performance computing and communications; international conference on smart city; international conference on data science and systems 2019 (pp. 1920-7). IEEE.

[19][19]Lin JM, Deng YL, Li ST, Yu BH, Chang LY, Peng TW. Regularity-aware routability-driven macro placement methodology for mixed-size circuits with obstacles. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2018; 27(1):57-68.

[20][20]Lin JM, Deng YL, Yang YC, Chen JJ, Chen YC. A novel macro placement approach based on simulated evolution algorithm. In international conference on computer-aided design 2019 (pp. 1-7). IEEE.

[21][21]Lin JM, Li ST, Wang YT. Routability-driven mixed-size placement prototyping approach considering design hierarchy and indirect connectivity between macros. In proceedings of the annual design automation conference 2019 (pp. 1-6).

[22][22]Vidal-obiols A, Cortadella J, Petit J, Galceran-oms M, Martorell F. Multi-level dataflow-driven macro placement guided by RTL structure and analytical methods. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020.

[23][23]Uppula V, Kesav SV, Vura B. Impact on the physical design flow, due to repositioning the macros in the floorplan stage of video decoder at lower technologies. International conference on distributed computing, VLSI, electrical circuits and robotics 2019 ((pp. 1-6). IEEE.

[24][24]Shaikh M, Soni B, Mehta R. Optimization of floorplan strategies to reduce timing violation on 28nm ASIC and scopes of improvement for data center ASICs. In international conference on intelligent computing and control systems 2020 (pp. 93-8). IEEE.

[25][25]Hu Q, Zhang MS. A collaborative optimization for floorplanning and pin assignment of 3D ICs based on GA-SA algorithm. In international symposium on electromagnetic compatibility & signal/power integrity 2020 (pp. 434-8). IEEE.

[26][26]Cheng WK, Wu CS. Machine learning techniques for building and evaluation of routability-driven macro placement. In international conference on consumer electronics-Taiwan 2019 (pp. 1-2). IEEE.

[27][27]Wang L, Wang J, Zhang Q. Design and implementation of DDR SDRAM controller based on FPGA in satellite navigation system. In international conference on signal processing 2012 (pp. 456-60). IEEE.