International Journal of Advanced Technology and Engineering Exploration (IJATEE) ISSN (P): 2394-5443 ISSN (O): 2394-7454 Vol - 10, Issue - 107, October 2023
  1. 1
    Google Scholar
Error efficient LOB-based approximate multipliers for error-tolerant applications

E. Jagadeeswara Rao and P. Samundiswary

Abstract

Approximate computing (AC) was positioned at the forefront of research in the field of error-tolerant applications. One key facet of AC was the use of approximate arithmetic functions, which offered significant reductions in delay, area, and power consumption at the expense of accuracy. Among these arithmetic functions, multiplication was extensively employed and played a pivotal role in error-tolerance applications. However, as the bit width increased, the design metrics and accuracy of existing multiplication designs tended to reduce. In this paper, novel architectures for leading one-bit-based approximate multipliers (LOBAMs) were proposed, aimed at improving both accuracy and design metrics. This paper focused on 8 × 8 and 16 × 16 approximate multipliers (AMs) designed in 90 nm complementary metal oxide semiconductor technology. The simulation results confirmed that LOBAMs outperformed existing AMs, reducing mean relative error distance, mean error distance, worst-case of error, normalized error distance, and error distance by an average of 74.59%, 80.75%, 41.06%, 84.19%, and 72.3%, respectively. Furthermore, when the proposed LOBAMs were embedded into an image smoothing filter, they demonstrated superior performance in terms of peak signal-to-noise ratio and structural similarity index metric compared to prior AMs. Finally, the proposed LOBAMs were exhibited remarkable advancements in both accuracy and design metrics when compared to existing AMs. This work underscored the potential of LOBAMs to revolutionize AC and contribute to more efficient and accurate error-tolerant systems.

Keyword

Approximate computing, Error metrics, Quality metrics, Design metrics, LOB-based approach.

Cite this article

Rao EJ, Samundiswary P

Refference

[1][1]Mittal S. A survey of techniques for approximate computing. ACM Computing Surveys. 2016; 48(4):1-33.

[2][2]Gupta V, Mohapatra D, Raghunathan A, Roy K. Low-power digital signal processing using approximate adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2012; 32(1):124-37.

[3][3]Yang Z, Jain A, Liang J, Han J, Lombardi F. Approximate XOR/XNOR-based adders for inexact computing. In 13th international conference on nanotechnology 2013 (pp. 690-3). IEEE.

[4][4]Han J, Orshansky M. Approximate computing: an emerging paradigm for energy-efficient design. In 18th European test symposium 2013 (pp. 1-6). IEEE.

[5][5]Venkataramani S, Chakradhar ST, Roy K, Raghunathan A. Approximate computing and the quest for computing efficiency. In proceedings of the 52nd annual design automation conference 2015 (pp. 1-6).

[6][6]Reddy KM, Vasantha MH, Kumar YN, Dwivedi D. Design and analysis of multiplier using approximate 4-2 compressor. AEU-International Journal of Electronics and Communications. 2019; 107:89-97.

[7][7]Narayanamoorthy S, Moghaddam HA, Liu Z, Park T, Kim NS. Energy-efficient approximate multiplication for digital signal processing and classification applications. IEEE Transactions on Very Large Scale Integration Systems. 2014; 23(6):1180-4.

[8][8]Di MG, Saggese G, Strollo AG, De CD, Petra N. Approximate floating-point multiplier based on static segmentation. Electronics. 2022; 11(19):1-23.

[9][9]Strollo AG, Napoli E, De CD, Petra N, Saggese G, Di MG. Approximate multipliers using static segmentation: error analysis and improvements. IEEE Transactions on Circuits and Systems I: Regular Papers. 2022; 69(6):2449-62.

[10][10]Ko HJ, Hsiao SF. Design and application of faithfully rounded and truncated multipliers with combined deletion, reduction, truncation, and rounding. IEEE Transactions on Circuits and Systems II: Express Briefs. 2011; 58(5):304-8.

[11][11]Vahdat S, Kamal M, Afzali-kusha A, Pedram M. LETAM: a low energy truncation-based approximate multiplier. Computers & Electrical Engineering. 2017; 63:1-7.

[12][12]Vahdat S, Kamal M, Afzali-kusha A, Pedram M. TOSAM: an energy-efficient truncation-and rounding-based scalable approximate multiplier. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2019; 27(5):1161-73.

[13][13]Du Y, Chen Z, Cheng B, Shan W. Design and analysis of leading one/zero detector based approximate multipliers. Microelectronics Journal. 2023; 136:105783.

[14][14]Lingamneni A, Basu A, Enz C, Palem KV, Piguet C. Improving energy gains of inexact DSP hardware through reciprocative error compensation. In proceedings of the 50th annual design automation conference 2013 (pp. 1-8).

[15][15]Hashemi S, Bahar RI, Reda S. DRUM: a dynamic range unbiased multiplier for approximate applications. In IEEE/ACM international conference on computer-aided design 2015 (pp. 418-25). IEEE.

[16][16]Zendegani R, Kamal M, Bahadori M, Afzali-kusha A, Pedram M. RoBA multiplier: a rounding-based approximate multiplier for high-speed yet energy-efficient digital signal processing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2016; 25(2):393-401.

[17][17]Garg B, Patel S. Reconfigurable rounding based approximate multiplier for energy efficient multimedia applications. Wireless Personal Communications. 2021; 118:919-31.

[18][18]Rao EJ, Samundiswary P. Error-efficient approximate multiplier design using rounding based approach for image smoothing application. Journal of Electronic Testing. 2021; 37:623-31.

[19][19]Rao EJ, Rao KT, Ramya KS, Ajaykumar D, Trinadh R. Efficient design of rounding-based approximate multiplier using modified Karatsuba algorithm. Journal of Electronic Testing. 2022; 38(5):567-74.

[20][20]Gorantla A, P D. Design of approximate compressors for multiplication. ACM Journal on Emerging Technologies in Computing Systems. 2017; 13(3):1-7.

[21][21]Angizi S, Jiang H, Demara RF, Han J, Fan D. Majority-based spin-CMOS primitives for approximate computing. IEEE Transactions on Nanotechnology. 2018; 17(4):795-806.

[22][22]Moaiyeri MH, Sabetzadeh F, Angizi S. An efficient majority-based compressor for approximate computing in the nano ERA. Microsystem Technologies. 2018; 24:1589-601.

[23][23]Shirinabadi FS, Reshadinezhad MR. A new twelve-transistor approximate 4: 2 compressor in CNTFET technology. International Journal of Electronics. 2019; 106(5):691-706.

[24][24]Liu W, Zhang T, Mclarnon E, O’neill M, Montuschi P, Lombardi F. Design and analysis of majority logic-based approximate adders and multipliers. IEEE Transactions on Emerging Topics in Computing. 2019; 9(3):1609-24.

[25][25]Anusha G, Deepa P. Design of approximate adders and multipliers for error tolerant image processing. Microprocessors and Microsystems. 2020; 72:102940.

[26][26]Zhu Y, Liu W, Yin P, Cao T, Han J, Lombardi F. Design, evaluation and application of approximate‐truncated booth multipliers. IET Circuits, Devices & Systems. 2020; 14(8):1305-17.

[27][27]Strollo AG, Napoli E, De CD, Petra N, Di MG. Comparison and extension of approximate 4-2 compressors for low-power approximate multipliers. IEEE Transactions on Circuits and Systems I: Regular Papers. 2020; 67(9):3021-34.

[28][28]Yang Z, Li X, Yang J. Power efficient and high-accuracy approximate multiplier with error correction. Journal of Circuits, Systems and Computers. 2020; 29(15):2050241.

[29][29]Khaleqi QJM, Ahmadinejad M, Moaiyeri MH. Ultraefficient imprecise multipliers based on innovative 4: 2 approximate compressors. International Journal of Circuit Theory and Applications. 2021; 49(1):169-84.

[30][30]Sudharani B, Sreenivasulu G. Design of high speed approximate multipliers with inexact compressor adder. International Journal of Advanced Technology and Engineering Exploration. 2021; 8(80):887-902.

[31][31]Fang B, Liang H, Xu D, Yi M, Sheng Y, Jiang C, et al. Approximate multipliers based on a novel unbiased approximate 4-2 compressor. Integration. 2021; 81:17-24.

[32][32]Chandaka S, Narayanam B. Hardware efficient approximate multiplier architecture for image processing applications. Journal of Electronic Testing. 2022; 38(2):217-30.

[33][33]Kumar UA, Bharadwaj SV, Pattaje AB, Nambi S, Ahmed SE. CAAM: compressor based adaptive approximate multiplier for neural network applications. IEEE Embedded Systems Letters. 2022; 15(3):117-20.

[34][34]Ejtahed SA, Timarchi S. Efficient approximate multiplier based on a new 1-gate approximate compressor. Circuits, Systems, and Signal Processing. 2022:1-20.

[35][35]Minaeifar A, Abiri E, Hassanli K, Darabi A. A high-accuracy low-power approximate multipliers with new error compensation technique for DSP applications. Circuits, Systems, and Signal Processing. 2023:1-9.

[36][36]Sayadi L, Timarchi S, Sheikh-akbari A. Two efficient approximate unsigned multipliers by developing new configuration for approximate 4: 2 compressors. IEEE Transactions on Circuits and Systems I: Regular Papers. 2023; 70(4):1649-59.

[37][37]Rahmani M, Babaeinik M, Ghods V, Khalesi H. Designing of an 8× 8 multiplier with new inexact 4: 2 compressors for image processing applications. Circuits, Systems, and Signal Processing. 2023:1-31.

[38][38]Esmaeili E, Pesaran F, Shiri N. A high‐efficient imprecise discrete cosine transform block based on a novel full adder and wallace multiplier for bioimages compression. International Journal of Circuit Theory and Applications. 2023; 51(6):2942-65.

[39][39]Yongxia S, Huaguo L, Bao F, Cuiyun J, Zhengfeng H, Maoxiang Y, et al. Design of approximate booth multipliers based on error compensation. Integration. 2023; 90:183-9.

[40][40]Perri S, Spagnolo F, Frustaci F, Corsonello P. Designing energy-efficient approximate multipliers. Journal of Low Power Electronics and Applications. 2022; 12(4):1-17.

[41][41]Deepsita SS, Kumar DM, Mahammad NS. Energy efficient error resilient multiplier using low-power compressors. ACM Transactions on Design Automation of Electronic Systems. 2022; 27(3): 1-26.

[42][42]Zacharelos E, Nunziata I, Saggese G, Strollo AG, Napoli E. Approximate recursive multipliers using low power building blocks. IEEE Transactions on Emerging Topics in Computing. 2022; 10(3):1315-30.

[43][43]Waris H, Wang C, Xu C, Liu W. AxRMs: approximate recursive multipliers using high-performance building blocks. IEEE Transactions on Emerging Topics in Computing. 2021; 10(2):1229-35.

[44][44]Sk NM. Low power, high speed approximate multiplier for error resilient applications. Integration. 2022; 84:37-46.

[45][45]Karthikeyan T, Sk NM. Energy efficient multiply-accumulate unit using novel recursive multiplication for error-tolerant applications. Integration. 2023; 92:24-34.

[46][46]Liang J, Han J, Lombardi F. New metrics for the reliability of approximate and probabilistic adders. IEEE Transactions on Computers. 2012; 62(9):1760-71.

[47][47]Kavand N, Darjani A, Rai S, Kumar A. Design of energy-efficient RFET-based exact and approximate 4: 2 compressors and multipliers. IEEE Transactions on Circuits and Systems II: Express Briefs. 2023; 70(9): 3644-8.

[48][48]Garg B, Sharma GK. A quality-aware energy-scalable gaussian smoothing filter for image processing applications. Microprocessors and Microsystems. 2016; 45:1-9.

[49][49]Wang Z, Bovik AC, Sheikh HR, Simoncelli EP. Image quality assessment: from error visibility to structural similarity. IEEE Transactions on Image Processing. 2004; 13(4):600-12.

[50][50]Kumar RK, Joe DA. Behavioral level simulation of vedic multiplier for ALU. Journal of Advanced Research in Dynamical and Control Systems. 2017; 9(16):1231-49.

[51][51]Han T, Carlson DA. Fast area-efficient VLSI adders. In IEEE 8th symposium on computer arithmetic 1987 (pp. 49-56). IEEE.